Welcome![Sign In][Sign Up]
Location:
Search - pwm vhdl

Search list

[Other resource自定义逻辑PWM的例子

Description: 是一个用vhdl语言编写的pwm程序,可以方便地用来和nios连接,实现对nios的功能扩展。-is a VHDL language with the PWM procedures can be used to facilitate connections and nios, nios to achieve a functional extension.
Platform: | Size: 10952 | Author: 石坚 | Hits:

[Other resourcePWM

Description: done pwm control using vhdl ,you can look at it.
Platform: | Size: 2191 | Author: fff | Hits:

[assembly languagePWM

Description: 利用汇编语言编写,VHDL,实现PWM波形转换电压,直接导入单片机即可运行,产生波形输出实现转换电压的功能.
Platform: | Size: 1065041 | Author: yangfan | Hits:

[VHDL-FPGA-Verilogpwm

Description: PWM脉冲产生代码,程序采用VHDL硬件描述语言!很有参考价值-PWM pulse generation code, the program using VHDL hardware description language! Useful reference
Platform: | Size: 76800 | Author: 周涛 | Hits:

[VHDL-FPGA-VerilogPWM

Description: PWM Source Code in VHDL For FPGA Devices
Platform: | Size: 484352 | Author: saber | Hits:

[VHDL-FPGA-Verilogsamlecode.vhdl

Description: THis code describes how to use the pwm singal generator and how to generate this using VHDL>
Platform: | Size: 17408 | Author: Jas | Hits:

[VHDL-FPGA-Verilogsiqupwm

Description: PWM的死区控制模块CLK delaywave-PWM control module dead
Platform: | Size: 1024 | Author: 徐昇龙 | Hits:

[VHDL-FPGA-Verilogpwm

Description: 此程序可用于产生正弦波、三角波、锯齿波、方波并仿真通过,采用LPM_rom-This program can be used to generate sine wave, triangle wave, sawtooth wave, square wave and the simulation by using LPM_rom
Platform: | Size: 1452032 | Author: 夜雨 | Hits:

[VHDL-FPGA-Verilogpwm_out

Description: PWM输出控制LED显示,由两个按键控制FPGA输出脉冲的占空比,脉冲去直接驱动发光二极管LED,随占空比不同,LED的亮度也不同-PWM output control LED display, two buttons control the FPGA output pulse duty cycle, pulse to directly drive LEDs LED, with different duty cycle, LED brightness is different
Platform: | Size: 39936 | Author: 王砂 | Hits:

[VHDL-FPGA-Verilogpwm

Description: vhdl的pwm代码可以控制LED的亮度255级调节 -The pwm vhdl code
Platform: | Size: 238592 | Author: 邓忠飞 | Hits:

[VHDL-FPGA-Verilogpwm

Description: 基于FPGA的PWM波的产生,通过计数的方法实现-FPGA-based generation of PWM wave
Platform: | Size: 1024 | Author: 彭娟娟 | Hits:

[VHDL-FPGA-Verilogpwm

Description: 带有死区的、频率可设置的PWM输出源码,实际中已经应用-With a dead zone, the frequency can be set in the PWM output source, have been applied in practice
Platform: | Size: 1024 | Author: xuning | Hits:

[VHDL-FPGA-Verilogpwm

Description: 适合初学者对PWM调制的学习,解释比较明确,由于来元于核心程序,功能强大-Enables the keyboard scan code in Verilog source code, clear for beginners Comments
Platform: | Size: 2048 | Author: 上关蓝乡 | Hits:

[assembly languagePWM(VHDL)

Description: --学习PWM的原理 --控制脉冲宽度,来调节LED的亮暗-- Learn the principle of PWM- control the pulse width, to adjust the LED light and dark
Platform: | Size: 463872 | Author: 饕餮小宇 | Hits:

[SCMPWM-VHDL-Dual-complementary-outputs

Description: 基于单片机的双路互补PWM波输出设置,仅供参考,希望对需要的人有帮助。-Based on single-chip dual complementary PWM wave.
Platform: | Size: 1024 | Author: ZHUMING | Hits:

[Embeded-SCM Developpwm

Description: PWM VHDL程序,双路互补输出,精度占空比可调-PWM VHDL program, dual complementary output, precision adjustable duty cycle
Platform: | Size: 1024 | Author: 范旭东 | Hits:

[MultiLanguagePWM

Description: PWM VHDL spartan 3e active vhdl nexys2
Platform: | Size: 8192 | Author: Tcko | Hits:

[VHDL-FPGA-VerilogPWM-VHDL

Description: 是使用VHDL编写的一段PWM产生程序,里面附带了详细的说明和源程序。-Is to use VHDL to write a PWM generation procedure, it comes with detailed instructions and the source program.
Platform: | Size: 529408 | Author: qu xiansheng | Hits:

[VHDL-FPGA-VerilogPWM

Description: VHDL code for PWM Generator with Variable Duty Cycle
Platform: | Size: 1024 | Author: param | Hits:

[VHDL-FPGA-Verilogpwm

Description: lkwdnvlksmdvl lkwndvlkwmndlvk lwkdnlkml lwkenlfk
Platform: | Size: 1024 | Author: AtinHello | Hits:
« 1 2 3 4 56 7 8 9 10 11 12 »

CodeBus www.codebus.net